Chemical vapor deposition of thin film materials for electronic and magnetic applications

Loading...
Thumbnail Image
Date
2011
Authors
Journal Title
Journal ISSN
Volume Title
Publisher
University of Alabama Libraries
Abstract

Chemical vapor deposition (CVD) has been employed to pursue high quality thin film growth for four different materials with excellent electronic or magnetic properties for certain device applications. The relationship between CVD processing conditions and various thin film properties has been systematically studied. Plasma enhanced atomic layer deposition (PEALD) is a special type of CVD technique and can be used for the deposition of very thin (few nanometers) and highly conformal thin films. PEALD of hafnium nitride (HfN) thin film is studied by using tetrakis (dimethylamido) hafnium (IV) (TDMAH) and hydrogen plasma. Prior to thin film deposition, TDMAH adsorption and reaction on hydrogenated Si(100) surface has been investigated by in-situ ATR-FTIR. It has been found that between 100˚C and 150˚C surface adsorbed TDMAH molecules start to decompose based on the ß-hydride elimination mechanism. The decomposition species on the surface has been found hard to desorb at 150˚C, which can contaminate the thin film if the purging/pumping time is insufficient. Uniform and moderately conductive HfNxCy films are deposited on hydrogen terminated Si(100) and thermally grown SiO2 (on Si) substrates by PEALD process. The dependence of thin film resistivity on plasma power is found to be related to the change of surface chemical composition. In vacuo XPS depth profile analysis showed the existence of hafnium carbide phase, which to a certain degree can improve the film conductivity. Direct liquid injection chemical vapor deposition (DLI-CVD) has been utilized for epitaxial growth of nickel ferrite (NiFe2O4), lithium ferrite (LiFe5O8) and barium titanate (BaTiO3) films on various lattice match substrates. For the deposition of nickel ferrite, anhydrous Ni(acac)2 and Fe(acac)3 (acac = acetylacetonate) are used as precursor sources dissolved in N,N-dimethyl formamide (DMF) for the DLI vaporizer system. Epitaxial nickel ferrite films of stoichiometric composition are obtained in the temperature range of 500-800 ºC on both MgO(100) and MgAl2O4(100). Film morphology is found to be dependent on the deposition temperature with atomically smooth films being obtained for deposition temperature of 600 and 700 ºC. Magnetic measurements reveal an increase in the saturation magnetization for the films with increasing growth temperature, which correlates well with the trend for improved epitaxial growth. Nickel ferrite films deposited on MgAl2O4 (100) at 800ºC exhibit saturation magnetization very close to the bulk value of 300 emu/cm3. Out-of-plane FMR measurement shows the narrowest FMR line width of ~160 Oe for films deposited at 600˚C. For lithium ferrite deposition, anhydrous Li(acac) and Fe(acac)3 are dissolved in DMF in a molar ratio of 1:5. Epitaxial growth of lithium ferrite films on MgO(100) are observed in the temperature range of 500˚C to 800˚C. The as grown films show increasing saturation magnetization with increasing deposition temperature due to the improved degree of crystal texture. For barium titanate thin film deposition, Ba(hfa)2*tetraglyme and Ti(thd)2(OPri)2 are dissolved in toluene in a molar ratio of 1:1. Epitaxial growth of barium titanate on MgO(100) has been found at the temperature of 750˚C. Film with a thickness of ~500 nm has a relatively large roughness of ~20 nm. Small amount of F elements, which exists in Ba-F bonds, has been detected in the thin film by XPS.

Description
Electronic Thesis or Dissertation
Keywords
Chemical engineering, Materials science
Citation